RE: Logic Design - Simple FPGA Design using Xilinx ISE 14.7

avatar

You are viewing a single comment's thread:

I have a whole lot of Xilinx Artix7 XC7A200T FPGAs. AcornCLE215+. See https://github.com/litex-hub/litex-boards
& https://github.com/d953i/SQRL_ACORN/commit/271a0ee77de7462f72580fb35c33104492255484.

I'm interested in what I can do with them.



0
0
0.000
1 comments
avatar
(Edited)

First off thank you for you reply!

Artix 7 Family FPGAs are newer than my Spartan-6 one and so the Xilinx Vivado Design Suite is needed to design on it, in basically the same way that I did with mine in ISE.

Of course no HDL Coding is necessary for everything. There are also visual and block-based tools available. And even MATLAB/SIMULINK can be used to generate quite efficient synthesizable HDL Code in Verilog/VHDL.

LiteX seems quite interesting too! It supports a variety of FPGAs and gives an open-source toolbox to work with. I haven't tried out such things yet, because I'm more of a programmer (if its software or HDL - doesn't matter). I like to have full control of the output, to get the best results...

I'm already thinking of an article about Vivado, so stay tuned if you like to follow the hardcore approach.
Though it might take a while...

drifter1.

0
0
0.000